Verilog常用

Verilog常用

$random

$random函数,调用时返回一个32位的随机数,它是一个带符号的整形数。在使用时根据具体场景对符号以及位宽进行调整

比如:

1
2
reg[23:0] rand;
rand=$random % 60; //产生一个在 -59—59范围的随机数
1
2
reg[23:0] rand;
rand={$random} % 60; //通过位拼接操作{}产生0—59范围的随机数
1
2
reg[23:0] rand;
rand = min+{$random}%(max-min+1); //产生一个在min, max之间随机数

https://blog.csdn.net/Frederick_Bala/article/details/122255915