IC网站(持续更新)

IC网站(持续更新)

1 教学与刷题

Veriloghttps://www.verilog.com/

介绍verilog的网站,IEEE verilog标准组:链接

ASIC-WORLDhttps://www.asic-world.com/

verilog,system verilog,uvm 写好的代码,适合训练使用

ZipCpuhttp://zipcpu.com/tutorial/

提供基础教程以及微处理器,作者还提供了配套的RTOS,很多文章都能看出作者对技术研究的深入,比较适合FPGA初学以及进阶,有很多深入设计的文章(触发器、状态机、FIFO)

Verification Academyhttps://verificationacademy.com/

验证必备网站

ChipVerifyhttps://www.chipverify.com/

验证通识

TestBenchhttp://www.testbench.in/

verilog, systemverilog, uvm教程

IC智库https://www.iczhiku.cn/

很多免费的教程

Douloshttps://www.doulos.com/

一个培训网站


猫叔的时序约束视频http://www.technomania.cn/tutorials/fpga/timing-analysis/

很不错地系统讲解时序约束的视频资料

2 实用工具

EDA Playgroundhttps://www.edaplayground.com/home

在线编译仿真网站

WaveDromehttps://wavedrom.com/

代码生成波形,突出两个字: 简单。通过波形便于整理自己的逻辑

Z-library

下载电子书

SCI-Hub

下载论文

3 开源设计

OpenCoreshttp://www.opencores.org/

非常多的开源项目,开源IP聚集地,里面有大量I2C,SPI,CAN,微处理器等IP,视频中的I2C代码经常会被开源处理器调用,有Verilog和VHDL版本

Alexforencichhttp://alexforencich.com/wiki/en/verilog/start

提供PCIe,以太网,I2C,Uart等IP,具体介绍: PCIe Ethernet

AXI 参考学习: 链接

平头哥开源riscvhttps://github.com/T-head-Semi/openc910.git

平头哥开源的几款处理器(Verilog),质量比较高,还有挺多这类开源处理器的,大家可以从Github或者OpenCores上找

FIFO

几个有关FIFO的网页:

https://mp.weixin.qq.com/s/iryOLfG0AG4ik1bYq1ILEQ

https://mp.weixin.qq.com/s/08HZjIEGBiIcFpIwc19GAg

https://mp.weixin.qq.com/s/t0gGG7Mgclnh2ZMn4s2_Vw

同步FIFO的写法:

https://blog.csdn.net/Reborn_Lee/article/details/90708011

设计FIFO用到的双端口RAM的设计(异步读写):

https://blog.csdn.net/Reborn_Lee/article/details/90648811

4 技术标准、论文合订、EDA手册

Accellerahttps://www.accellera.org/

提供sv与uvm标准

ARMhttps://www.arm.com/

arm官网

来自 Cliff Cummings 的各种论文http://www.sunburst-design.com/papers/

来自 Sutherland 的各种论文http://www.sutherland-hdl.com/papers.html

Synopsys SNUG Papershttp://www.synopsys.com/community/snug/pages/proceedings.aspx

Cadence CDNLive Papershttp://www.cadence.com/cdnlive/ww/pages/default.aspx

Mentor’s Verification Horizons

5 验证相关

Verification Academyhttps://verificationacademy.com/

验证必备网站

ChipVerifyhttps://www.chipverify.com/

验证通识

TestBenchhttp://www.testbench.in/

verilog, systemverilog, uvm教程

Accellerahttps://www.accellera.org/

提供sv与uvm标准

UVM Cookbookhttps://verificationacademy.com/cookbook/uvm

Coverage Cookbookhttps://verificationacademy.com/cookbook/coverage

UVM Coding Guidelineshttps://verificationacademy.com/cookbook/uvm/guidelines

SystemVerilog Coding Guidelineshttps://verificationacademy.com/cookbook/sv/guidelines

英文论坛

DVCONhttps://dvcon.org/

全球性dv ic 研讨会

Design Automation Conferencehttps://www.dac.com/

IC 设计大会(IC奥斯卡)

中文社区

eetophttps://bbs.eetop.cn/

最大的论坛

芯易云http://chipeasycloud.com/bbs/

最近新兴

电子发烧友https://www.elecfans.com/

与非网https://www.eefocus.com/

电子工程专辑https://www.eet-china.com/

电子设计技术论文,市场研究报告

IC技术圈http://www.iccircle.com/

很多技术公众号

极术社区http://www.aijishu.com/

arm中文社区有

电子工程世界http://www.eeworld.com.cn/

专为电子工程师和电子设计主管提供电子技术开发应用资讯的网络传媒

半导体行业观察http://www.semiinsights.com/

前言咨询,与深度内容

21IC电子网https://www.21ic.com/

中国第一家电子技术网站

电子技术设计https://www.ednchina.com/

提供一手电子资讯


本博客所有文章除特别声明外,均采用 CC BY-SA 4.0 协议 ,转载请注明出处!